Eliyan, the  chiplet interconnect specialist, has closed a $60 million funding round. Investors included Samsung Catalyst Fund,  Tiger Global Management,  Hynix, Intel Capital, Cleveland Avenue and Mesh Ventures.

This round follows the company’s $40 million Series A round in 2022. It will enable Eliyan to continue its focus on the challenges facing the design and manufacturing of advanced AI chips that use multi-die architectures in either advanced packaging or standard organic substrates.

In addition to die-to-die interconnect in chiplet-based designs, the company addresses the growing challenge of memory capacity and bandwidth in AI chips with its Universal Memory Interface (UMI).

The bi-directional interconnect method aims at the “memory wall” issue facing large, multi-die designs. UMI enables a very bandwidth-efficient connection to memory, in both standard organic substrates and advanced packaging. Given its highly efficient PHY beachfront area, UMI provides a significant increase in aggregate memory bandwidth per AI chip and major die area reduction needed for memory interfaces. More on UMI here.

Eliyan’s NuLink PHY recently taped out on TSMC’s 3nm process, targeting performance of up to 64Gbs per link, claimed to be at an unprecedented performance/power ratio.

“This investment reflects the confidence in our approach to integrating multi-chip architectures that address the critical challenges of high costs, low yield, power consumption, manufacturing complexity, and size limitations,” says Eliyan co-founder and CEO, Ramin Farjadrad (pictured), “our NuLink technology has achieved commercial readiness with tape outs in the most advanced processes, and is optimised for delivering the necessary high bandwidth, low latency, and low power capabilities.”