To advance processing nodes, chipmakers must use photolithography that can reliably support smaller feature sizes. Extreme ultraviolet (EUV) lithography, one of the leading tools to reach these sizes, directs EUV light on silicon wafers to create intricate patterns, significantly enhancing the resolution and precision of semiconductor patterning.

Intel recently became the first commercial customer of ASML’s high Numerical Aperture (high NA) EUV tool. Intel claims this tool can change the optics design for projecting printed images on a silicon wafer, drastically boosting the resolution and scalability of up-and-coming processors.

All About Circuits spoke with Mark Phillips, Intel’s director of lithography hardware and solutions, to learn more about the new tool.

Hillsboro, Oregon, R&D site

Intel finished installing the new high NA EUV tool on its Hillsboro, Oregon, R&D site in April 2024. 

Intel First to Employ ASML’s High NA EUV Tool

In an effort to support advanced processing nodes, Intel and ASML have teamed up to assemble a TWINSCAN EXE:5000 scanner from ASML, making Intel the first in the industry to receive a high NA EUV tool. 

“We’re not just customers. We were very involved in the initial discussions and concepts for the tool,” Phillips said. “They described the space of what was technically possible, and then we worked together to figure out the business case for the tool. How could it be used cost-effectively? We agreed to those specs many years ago.”

Main subsystems of the TWINSCAN EXE:5000

Main subsystems of the TWINSCAN EXE:5000. 

The TWINSCAN EXE:5000 scanner uses a 13.5-nm EUV wavelength and a 0.55 numerical aperture (NA) to achieve up to 8-nm resolution and a throughput of 185 wafers per hour (@20mJ/cm2 dosage). Compared to previous systems, which featured a 0.33 NA, the new system offers higher imaging contrast and reduces light exposure per layer. Intel also distinguishes the new high NA EUV system by its enhanced resolution capabilities, projecting features 1.7 times smaller than those possible with previous EUV tools. 

The companies claim that this improvement will enable the production of transistors with densities up to 2.9 times greater than the current state-of-the-art. 

Why High NA EUV?

High Numerical Aperture Extreme Ultraviolet lithography represents a significant advancement in the semiconductor manufacturing process. 

High NA EUV systems uniquely modify their optical design, incorporating a wider angle of exposure. This alteration drastically improves the system’s ability to focus light with extreme precision, thus creating smaller and more densely packed transistors. In this way, high NA EUV systems offer the means to produce advanced chip designs that are beyond the capabilities of current lithography technology—thereby driving Moore’s law further into the future.

Intel workers with their TWINSCAN EXE:5000

Intel workers with their TWINSCAN EXE:5000. 

The benefits of this advancement extend beyond smaller transistor sizes, according to Phillips.

“Why do we choose to be the first movers on high NA EUV? First of all, the improved resolution enables flexible design rules, simplifies the process flow, reduces the number of mask layers, and reduces the other process steps you need to accumulate multiple mask layers into one process layer,” he explains.

“That gives us a better time to yield—from the faster information turns, the shorter process flow, and the simpler process we have to debug. We expect better yields in the end from that.”

Intel Starts With the 18A Process Node

Intel’s deployment of the High NA EUV tool is a strategic move to sustain its competitive edge in the semiconductor industry. The company plans to integrate the TWINSCAN EXE:5000 into its production line, beginning with the Intel 18A process node, and hopes the technology will drive Intel deeper into the “Armstrong Era”.  


All images used courtesy of Intel.